IEEE 1850 PSL: The Next Generation

نویسندگان

  • Harry Foster
  • Erich Marschner
  • Yaron Wolfsthal
چکیده

The Accellera Property Specification Language (PSL) was developed to provide a standard assertion language for use in both simulation and formal verification tools and in the context of a variety of hardware description languages. After four years of development in Accellera, PSL has now been transferred to the IEEE for standardization. This paper presents an overview of the IEEE 1850 PSL activity to create an IEEE standard version of PSL. The paper also summarizes efforts to coordinate with other IEEE standards, such as the incorporation of PSL into IEEE VHDL 200x, as well as research into future applications of PSL.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Finite geometry for a generation

There are a number of results concerning the generation of a collineation group by two of its elements. A. A. Albert and J. Thompson [1] were the first to exhibit two elements generating the little projective group PSL(d, q) of PG(d − 1, q) (for each d and q). According to a theorem of W. M. Kantor and A. Lubotzky [8], “almost every” pair of its elements generates PSL(d, q) as qd→∞ (asymptotica...

متن کامل

A Comparitive study: FLOWS and PSL model in Selecting the Ontologies for Dynamic Web service Selection in Semantic web Environment

Dynamic web service selection is a problem in semantic web environment because of the selection of ontologies. Web services(WSs) will be the building blocks of for developing the next generation applications using the service oriented architecture(SOA). Semantic web service ontologies are more important in defining the web service identification and selection. Web service provision is the proce...

متن کامل

PSL: Beyond Hardware Verification

In recent years, the language PSL (Property Specification Language, a.k.a. IEEE P1850) has been embraced and put to successful use by chip design/verification engineers across the electronics industry. While PSL is mainly used for hardware verification, it can, in fact, be used to verify a wide variety of systems, including missile interception systems, railway interlocking protocols, system au...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2005